毕业论文

打赏
当前位置: 毕业论文 > 电子通信 >

VHDL+FPGA的PCI总线接口设计

时间:2021-04-30 20:48来源:毕业论文
引入了PCI的基本概念,并对其中的各总线信号加以解释说明,然后介绍了FPGA的发展历史、特点以及设计方法和流程。文章重点在于对PCI总线的Verilog HDL程序的分析,程序共分为6个模块

摘要PCI总线从1992年创立规范到如今,已成为了计算机的一种标准总线,它具备很高性能,适应于计算机和外部设备之间的高速数据传输,并迅速取代传统的ISA总线,成为PC机中的主流总线。66664

本文首先引入了PCI的基本概念,并对其中的各总线信号加以解释说明,然后介绍了FPGA的发展历史、特点以及设计方法和流程。文章重点在于对PCI总线的Verilog HDL程序的分析,程序共分为6个模块,文章对每个模块的功能进行了解释,各功能模块之间通过实例调用最终实现了整体功能。最后,调用Quartus II软件对程序进行仿真验证,最终结果也进一步加深了我们对PCI接口的认识。

毕业论文关键字:模块  仿真  功能

Title   Design of PCI bus interface based on FPGA     

    

Abstract

  Created in 1992, PCI bus has been widely applied as scandalized bus of computer systems. It is known as highly performance, which makes it very suitable for the high-speed data transmission between internal computer and external device. As a result, PCI bus has became the replacement for ISA bus as essential bus of PC.

   The basic concept of PCI and each bus signal of it are discussed firstly.Then come with the development history,characteristic,design method and process of FPGA .The paper is focusing on the analysis of the PCI bus progrby Verilog HDL,which is pided into 6 modules. This design explains each module's function and the whole function is achieved by every single module invoking each other under practical cases. Finally,we call the Quartus II software to simulate the program, and the final result it produced also further deepen the understanding of the PCI interface.

   

Key Words:module  simulation  function

    

 目   录 

1 引言 2

1.1 本文的研究背景 2

1.2 PCI的研究现状 2

1.3 本文的主要内容 3

2 PCI总线概述 4

2.1 PCI总线的基本概念 4

2.2 PCI总线的主要性能 5

2.3 PCI总线信号线定义 6

2.4 PCI总线命令 7

2.4.1 总线命令编码 7

2.4.2 I/O读命令 8

2.4.3 I/O写命令 9

2.4.4 存储器读命令 9

2.4.5 存储器写命令 10

2.4.6 配置读命令 10

2.4.7 配置写命令 10

3 PCI接口的设计方案 11

3.1 PCI接口实现思路 11

3.2 PCI的体系架构 13

4 PCI接口的具体实现 16

4.1 FPGA的基本知识 16

4.2 PCI接口设计 17

    4.2.1 PCI接口顶层模块 18

    4.2.2 配置选择模块 19

    4.2.3 基址检查模块 20

    4.2.4 状态机转换模块 VHDL+FPGA的PCI总线接口设计:http://www.751com.cn/tongxin/lunwen_74644.html

------分隔线----------------------------
推荐内容