毕业论文

打赏
当前位置: 毕业论文 > 电子通信 >

VHDL+FPGA的SPI通信接口设计

时间:2018-04-18 22:36来源:毕业论文
基于FPGA的SPI通信接口设计,主要讲述了SPI通信接口的总体方案设计和论证,硬件电路的设计以及软件设计。根据SPI总线标准,分析了SPI总线的工作时序,采用VHDL语言完成各模块的设计

摘要SPI(串行外围设备接口)因具有全双工模式、协议易于实现、占用I/O资源少等优点,在外围设备与电路系统通信上获得了越来越广泛的应用。
本文介绍了一种基于FPGA的SPI通信接口设计,主要讲述了SPI通信接口的总体方案设计和论证,硬件电路的设计以及软件设计。根据SPI总线标准,分析了SPI总线的工作时序,采用VHDL语言完成各模块的设计,通过FPGA的编解码完成了不同芯片间或板间的高速数据通信要求,在Quartus II 开发环境下进行设计,并进行仿真测试,使其在比较灵活的同时,又便于后续的扩展与升级。结果证明该通信接口能完成设计所要求达到的功能,在传输过程中还具备比较良好的鲁棒性。21405
关键词  SPI通信接口  FPGA  VHDL  
毕业论文设计说明书(论文)外文摘要
Title    Design of SPI Communication Interface Based on FPGA
Abstract
SPI(Serial Peripheral Interface) has got more and more applications on the communication between the peripheral devices and circuit systems because of its full-duplex mode, easy-implementing protocol and less occupation in I/O resources.
This article describes the SPI communications interface design based on FPGA. This paper mainly tells the overall design scheme and argumentation, the design of the hardware circuit and software design According to the SPI bus standard, this design analyses the timing of work, uses VHDL language to complete the design of each module ,complete a high-speed data communication between different chips or boards by FPGA codecs. It is integrated under the development environment which called Quartus II. We take a simulation test for it to enable it has high flexibility and facilitates the subsequent expansion and upgrade. The result shows that the communication interface is able to complete the required functions. In the transmission process, it even has relatively good robustness.
Keywords  SPI Communication Interface  FPGA  VHDL  
目   录
1绪论    1
1.1课题研究目的及意义    1
1.2相关技术发展现状    1
1.3论文的主要内容和章节安排    3
1.4本章小结    4
2SPI介绍    5
2.1SPI通信原理    5
2.2SPI传输模式    5
2.3SPI协议    7
2.4本章小结    8
3方案设计    10
3.1开发环境    10
3.2开发语言    10
3.3设计内容和要求    12
3.4方案论证    12
3.5本章小结    14
4各子模块设计    16
4.1分频模块    16
4.2数据发送模块    18
4.3数据接收模块    22
4.4本章小结    26
5仿真验证    27
5.1分频模块仿真:    27
5.2数据发送模块仿真:    28
5.3数据接收模块仿真:    28
5.4本章小结    29
结  论    30
致  谢    31
参考文献32
1    绪论
1.1    课题研究目的及意义
串行传输是目前外设接口的主流传输模式, SPI应运而生且随着技术的发展,由于其占用I/O资源少且传输稳定的特点而得到了极其广泛的应用。
但实际应用和开发过程中,如果主控设备没有SPI接口或者需要与多个有SPI接口的外设通信,需要对其进行SPI接口的扩展,使用软件的方法,即通过软件方法来模拟主控制器的I/O口,不仅不方便,而且限制了SPI的应用,用来通信的话,由于其本身的速度限制而通信速度也不会很高,所以采用硬件的方法来实现,这使得与SPI有关的软件就变得相对简单,从而可以让CPU有更多时间处理其他事务。 VHDL+FPGA的SPI通信接口设计:http://www.751com.cn/tongxin/lunwen_13593.html
------分隔线----------------------------
推荐内容