毕业论文

打赏
当前位置: 毕业论文 > 电子通信 >

二进制数字调制与解调系统的设计(3)

时间:2018-07-18 17:45来源:毕业论文
1.2.1 QuartusII的使用及主要设计流程 QuartusⅡ支持多种编辑输入法,包括图形编辑输入法,VHDL、VerilogHDL和AHDL的文本编辑输入法,符号编辑输入法,以及内存


1.2.1 QuartusII的使用及主要设计流程
QuartusⅡ支持多种编辑输入法,包括图形编辑输入法,VHDL、VerilogHDL和AHDL的文本编辑输入法,符号编辑输入法,以及内存编辑输入法。[12]QuartusII的设计方法与由底层向上的传统电子设计方法有很大不同。对于传统电子设计来说,判断结果的正确与否要经过大量试验,对设计者的工作要求较高,而且最终的结果往往要做出成品才可以使用仪器测量。而对于自顶向下设计的QuartusII来说,不仅使设计周期大大缩短而且更接近普通人的思文方式。对使用者的经验要求也较低,方便测试。进行QuartusII设计的主要环节有:
①    设计输入
设计方案通过两种方式输入,图形输入和硬件描述语言输入。
②    分析综合
在设计输入完成之后要进行语法的分析和检错,然后通过综合设计把电路的高级语言变成能够与FPGA/CPLD的基本结构相映射的网表文件或程序。
③    仿真
仿真有功能仿真和时序仿真两种。功能仿真即对VHDL、原理图描述等逻辑功能进行测试。还可以通过时序仿真来获得接近真实元器件的高仿真精度结果。
④    布局布线
在仿真的结果与逻辑设计的结论相一致时就可进行布局布线。在布线和时序时,为每个逻辑功能提供最好的逻辑单元位置,并选择适当的互连路径和引脚分配。
⑤    时序分析
Quartus II的时序分析将会分析每个逻辑设计的性能,并指示分配符合设计要求的适配器。
⑥    引脚选定及下载
确定输入输出信号在芯片上的引脚,将文件下载到FPGA上进行硬件调试和检测。
1.2.2 QuartusⅡ的原理图输入设计流程
①新建项目文件,输入项目目录,名称,然后选择相应的元器件。
②对图形文件进行设计,元件布局,布线,设置输入和输出引脚名称。
③执行编译,检查电路设计是否正确。
④对设计文件进行时序仿真,由得出的仿真波形验证设计原理。
⑤选定引脚并编程下载设计文件。
1.3 VHDL硬件描述语言介绍
VHDL语言是1986年正式被IEEE设立标准的一种用于电路设计的高级语言。VHDL的中文含义是超高速集成电路硬件描述语言,主要应用在数字电路的设计当中。目前在中国主要应用在FPGA\CPLD\EPLD的设计中,在少数领域被用来设计ASIC。
VHDL的主要用途是描述数字系统的结构、行为、功能以及接口。[14]VHDL的整个语言形式以及语法与大部分软件高级语言并没有太大区别,但具有很多代表其特性的硬件描述语言。VHDL程序的结构特点是将一项工程设计,也就是设计实体分成外部(即可视部分)和内部(即不可视部分),这两部分就是设计实体的内部功能和算法完成部分。设计实体可以是一个元件,一个电路模块或一个系统。[15]VHDL系统设计的基本思想是将设计实体分成内与外两个部分。即当一个内部开发完成的设计实体定义了外部界面后,其他的设计程序可以直接调用此设计实体。
相比于其他的计算机软件高级语言,VHDL具有其特有的并行运行特点,在电路设计完成并通电后,电路内部元件的所有信号将同时并发工作,而不是像软件方式依照程序顺序执行,即便在进程的内部也是趋向并行工作的。[15]
1.3.1 VHDL语言的语法基础
①    VHDL的对象
VHDL中可以赋值的对象有三种:信号、变量和常量。
②    VHDL的数据类型
定义了VHDL的客体后,需要指定其数据类型。VHDL具有多种标准数据类型和允许用户自定义数据类型。 二进制数字调制与解调系统的设计(3):http://www.751com.cn/tongxin/lunwen_19828.html
------分隔线----------------------------
推荐内容