毕业论文

打赏
当前位置: 毕业论文 > 电子通信 >

基于FPGA的循环冗余校验算法研究

时间:2018-03-21 16:09来源:毕业论文
论文介绍了循环冗余校验CRC的原理、算法以及用VHDL语言实际校验码的设计,并介绍了FPGA/CPLD,VHDL语言,性能分析并用FPGA进行CRC-5的仿真

摘要数据通信技术是计算机网络技术发展的基础,已经成为现代生活中必不可少的一部分。但通过通信信道传输的数据往往会有差错的产生,且不可避免。因此,必须要进行数据检验。校验的方法有很多,其中CRC校验码是一种重要的循环码,编码和解码方法简单,容易实现,检错能力强,是一种效率极高的数据校验方法。本毕业论文介绍了循环冗余校验CRC的原理、算法以及用VHDL语言实际校验码的设计,并介绍了FPGA/CPLD,VHDL语言,性能分析并用FPGA进行CRC-5的仿真,并给出了CRC校验码的具体计算过程和使用硬件描述语言VHDL来实现CRC编码的流程图。在程序中实现的是串行移位计算,并以EDA工具作为编译、仿真平台,完成了CRC编码器的FPGA实现。19938
关键字:CRC校验  编码和解码原理  FPGA  VHDL  EDA
毕业设计说明书(论文)外文摘要
Title    CRC Check Algorithm Research Based On FPGA                    
Abstract
    Data communication technology is the foundation for the development of the computer network technology,has become an indispensable part of modern life. But through the communication channel transmission of data, it often has the mistake produce, and inevitable. So we have to have some data validation. Calibration method are many, CRC check code is a kind of important circulation yards, encoding and decoding method are simple and easy to implement, fault ability, detection is a highly efficient data calibration method.. The paper introduces the principle, algorithm of CRC and the design of VHDL. In addition, the paper introduces FPGA/CPLD, VHDL, and using FPGA to complete the simulation of CRC-5. The paper also introduces the calculate processing of CRC check code and how to use VHDL to complete the diagram of CRC encoding. The procedure completes the USB shift calculation, and uses EDA implement as the platform of compilation and simulation to complete the FPGA completion of CRC encoding.
Keywords  CRC check   Encoding and decoding   FPGA  VHDL  EDA
  目   次 
1.绪论.1
  1.1 引言.1
1.2 数据传输检测方法.1
  1.3 本论文主要研究内容.3
  1.4 本章小结.3
2.CRC原理及应用3
  2.1 引言.3
2.2 CRC的分类4
  2.3 CRC编码的原理4
  2.4 CRC在HDLC协议中的应用.8
  2.5 CRC的DSP实现..9
  2.6 本章小结.10
3.硬件与软件...10
  3.1 引言.10
3.2 可编程FPGA介绍11
  3.3 Quartus软件介绍15
  3.4 HDL硬件语言简介16
  3.5 本章小结19
4.CRC校验码硬件实现与仿真20
  4.1 循环冗余校验模块的设计原理20
  4.2 循环冗余校验设计原理图20
  4.3 生成模块的设计22
  4.4 校验模块的设计24
  4.5 用VHDL设计串行的CRC码传输过程.25
  4.6 CRC模块原理图26
  4.7 总设计结果的实现..26
结论28
致谢29
参考文献30
附录31
1  绪论
1.1引言
数据通信技术是计算机网络技术发展的基础,已经成为现代生活中必不可少的一部分。但通过通信信道传输的数据往往会有差错的产生,且不可避免。因此,必须要进行数据检验。校验的方法有很多,其中CRC校验码是一种重要的循环码,编码和解码方法简单,容易实现,检错能力强,是一种效率极高的校验方法。本文介绍了CRC校验的编码和解码原理和具体实现步骤,给出了硬件实现方法和仿真结果。
1.2    数据传输检测方法
人们对于信息的认识和利用,可以追溯到古代的通讯实践。中国古代的“烽燧相 基于FPGA的循环冗余校验算法研究:http://www.751com.cn/tongxin/lunwen_11520.html
------分隔线----------------------------
推荐内容