毕业论文

打赏
当前位置: 毕业论文 > 电子通信 >

基于FPGA的循环冗余校验算法研究(3)

时间:2018-03-21 16:09来源:毕业论文
1.3 本论文主要研究内容 本论文从研究循环冗余校验的基本原理入手,利用大规模可编程器件FPGA来实现电路,并使用EDA工具QuartusⅡ软件完成基于FPGA的循环


1.3    本论文主要研究内容
本论文从研究循环冗余校验的基本原理入手,利用大规模可编程器件FPGA来实现电路,并使用EDA工具QuartusⅡ软件完成基于FPGA的循环冗余校验模块的设计。另外还介绍了FPGA/CPLD,VHDL语言,性能分析并用FPGA进行CRC-4和CRC-16的仿真,给出了CRC校验码的具体计算过程和使用硬件描述语言VHDL来实现CRC编码的流程图,在程序中实现的是串行移位计算,并以EDA工具Quartus Ⅱ作为编译、仿真平台,选用Cyclone系列中的EP1C6T144C6器件,完成了CRC编码器的FPGA实现。通过对所编的VHDL语言程序或者搭建的器件模块的编译与仿真,可以看到结果并完成实验功能。
论文第一章为绪论部分,介绍了数据传输的一些检测方法。
论文第二章介绍CRC的原理及相关应用,包括CRC的分类、原理、算法及性能分析,以及CRC在HDLC协议和DSP中的应用。
论文第三章介绍硬件和相关仿真技术,对FPGA进行了详细的介绍,同时也介绍了所应用软件以及硬件描述语言HDL的相关知识。
论文第四章主要给出了具体的设计过程和结果,并对仿真结果进行分析。
1.4 本章小结
    本章主要介绍了数据传输的检测方法,提出了循环冗余校验这一快速高效的校验方法,阐述了研究循环冗余校验的意义,同时也对课题的研究所需要的硬件和软件知识作了介绍,提出了论文应完成的任务和目标。
2、CRC原理及应用
2.1 引言
CRC由线性分组码的分支而来,是一种检错能力很强的循环码。循环冗余校验对传送数据作错误检测是利用除法及余数的原理。编码和解码方法简单,容易实现,检错能力强,误判概率低,而且这种方法取得校验码的方式具有很强的信息覆盖能力,是一种效率极高的错误校验方法。这种高效的差错控制方法,在测控及数据通信中得到了广泛的应用。从性能和成本上考虑,均远远优于其他校验方式。在计算机系统中,内存与外设、内存与硬盘交换数据及计算机网络中以报文组方式传送数据时,都可采用循环冗余校验码来检测并纠正数据传输中出现的错误。
CRC校验码实际上是一种线性码,它是由分组线性码的分支而来,将任意CRC校验码循环移位后仍然是一个CRC校验码。因其具有良好的结构,检错能力强,容易实现,得到了广泛的应用。在卫星测控与通信系统中采用CRC校验也是为了提高系统的数据传输质量和差错控制能力。因此CRC校验码在HDLC协议和DSP中得到了广泛的应用。
2.2CRC的分类
目前CRC的使用分为标准和非标准两种,非标准为用户自定义CRC的生成多项式,而标准是已被国际标准化组织规定的标准生成多项式。 基于FPGA的循环冗余校验算法研究(3):http://www.751com.cn/tongxin/lunwen_11520.html
------分隔线----------------------------
推荐内容