毕业论文

打赏
当前位置: 毕业论文 > 自动化 >

基于FPGA的步进电机设计

时间:2020-11-15 16:31来源:毕业论文
基于 FPGA 开发可以开发步进电机驱动系统,稳定性好,灵活方便,移植性强。 本文介绍了步进电机的现状与发展趋势,分析了步进电机的原理

步进电机是一种将电脉冲转化为角位移的感应电机,广泛应用于工业领域。步进电机与数字系统结合,可以方便的通过控制脉冲来控制步进电机的转速、转向等。现场可编程门阵列 FPGA(Field Programmable Gate Array)是在 PGL、GAL 基础上发展起来可编程逻辑器件,能够方便灵活的开发数字控制系统。基于 FPGA 开发可以开发步进电机驱动系统,稳定性好,灵活方便,移植性强。      本文介绍了步进电机的现状与发展趋势,分析了步进电机的原理,同时介绍了利用 VHDL 硬件描述语言开发 FPGA 的方法。在此基础上,利用 Quartus.II 软件在 Cyclone III EP3C25 平台上完成了基于 FPGA 的步进电机控制。 59597  
毕业论文关键词    步进电机  VHDL  FPGA  Quar tus.I I   Title   Stepper motor control based on FPGA                                   
Abstract Stepper motor is a kind of  induction motor  which  converts electrical pulses into angular displacement .It is  widely used in industrial fields.  Combined with digital system,  Stepper motor  can  be  easily  controlled. Field Programmable Gate Array FPGA (Field Programmable Gate Array) is developed on the basis of PGL, GAL Programmable logic devices. It can be used to development digital control system. The stepper motor drive system ,which is based on FPGA development, has good stability, flexibility, strong portability . In this paper, the current situation and trend of development of stepper motor were introduced. The principle of stepping motor was analyzed, and introduced the development of FPGA    which is  using VHDL hardware description language. Using software Quartus.II on Cyclone III EP3C25 platform , the stepper motor control which is based on FPGA has completed.   
Keywords       Stepper motor  VHDL  FPGA  Quartus.II 

目录

1.绪论..2

1.1课题背景与意义2

1.2步进电机的发展现状和方向..2

1.3本文结构安排..3

2.步进电机介绍.4

2.1步进电机原理..4

2.2步进电机特点及应用..5

3.FPGA及VHDL介绍.6

3.1FPGA简介.6

3.2VHDL硬件描述语言简介8

4.基于FPGA的步进电机控制实现10

4.1硬件平台介绍10

4.2步进电机系统级设计分析.11

4.3步进电机单元设计及仿真.12

4.4程序清单..16

4.5FPGA实现..20

结论..24

致谢..25

参考文献.26

1.  绪论 1.1     课题背景与意义 步进电机,不同于传统直流或交流电机,是利用电脉冲进行运转的感应电机。步进电机每接受一定数量的脉冲序列,就转过固定的角度。作为一种具有控制作用的执行元件, 与直流或交流电机控制系统相比, 用户通过控制电脉冲的各种参量, 如脉宽、频率等,来达到控制电机的转向、速度等参数的目的。由于应用数字系统以及步进电机本身固有的特点,它具有响应快、操作灵活、稳定性好等优势。因此,它广泛运用于各种机械电子自动化等系统。当前对于步进电机控制系统,广泛采用单片机或微处理器+模拟电路来实现,由于模拟电路相比于数字电路控制更复杂,受环境影响大,稳定性不足,采用数字控制系统与步进电机结合无疑具有显著优势。 FPGA(F ie ld Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL 等可编程逻辑器件上发展起来的产物。相对于 ASIC,FPGA 继承了其规模大、集成度高、可靠性高的优点,而且比 ASIC 开发周期短,灵活性高。而相比于 PAL等可编程逻辑器件,无论是复杂性还是规模程度,FPGA 的优势还是很明显的。但由于早期FPGA开发成本较高, 完成复杂的系统设计能力不足,它并不适合大规模投入生产。随着 FPGA技术和一些新科技的不断发展,FPGA的性能得到很大提升,而其制作成本越来越低,其性价比逐渐提高。因此利用FPGA控制步进电机的数字系统,不仅有助于步进电机驱动的研究,具有很大的应用价值,而且这也有助于 FPGA这项技术本身的研究利用开发,推动其在工业领域的发展。 本课题通过学习 VHDL 语言及其编程方法,学习步进电机工作原理,运用VHDL语言完成驱动设计,并进行综合与仿真,实现基于 FPGA的步进电机控制。同时通过对课题的研究,了解 FPGA的原理源]自{751·~论\文}网·www.751com.cn/ ,一定程度上对 FPGA的开发流程有所理解,将有助于FPGA系列产品的开发。此外,本课题对于需要用到步进电机的产品开发也有意义,能够运用到生产生活之中。 基于FPGA的步进电机设计:http://www.751com.cn/zidonghua/lunwen_64860.html

------分隔线----------------------------
推荐内容