毕业论文

打赏
当前位置: 毕业论文 > 自动化 >

基于FPGA的步进电机控制系统的设计

时间:2019-08-04 16:24来源:毕业论文
使用 Verilog HDL语言设计系统的程序,并使用 QuartusⅡ软件对设计的程序进行功能仿真。它以 FPGA 芯片作为核心控制元件,可以实现对步进电机的加速减速操作,也可以实现步进电机的正反转

摘    要 步进电机在生活中使用广泛,并且步进电机是一种容易进行精确控制的电动机,在微电子技术日益发展的今天,我们可以采用各种各样的方式控制步进电机。设计在基于 FPGA  技术的基础上对步进电机进行控制的系统。设计 FPGA  的步进电机的控制系统,是在掌握步进电动机的工作原理基础上 ,使用 Verilog HDL语言设计系统的程序,并使用 QuartusⅡ软件对设计的程序进行功能仿真。它以 FPGA 芯片作为核心控制元件,可以实现对步进电机的加速减速操作,也可以实现步进电机的正反转操作,减少了外围元件的应用,并且采用 Verilog HDL 语言设计可以对不同的控制要求,我们可以通过改动模块内部的参数实现对它不同的控制。 38047 
毕业论文关键词:    FPGA     步进电机    仿真  控制  
Step motor is a kind of actuating element. It is easy to be controlled and used widely accurately. As the development of microelectronics technology, there are a lot of  control ways. According to FPGA technology, this article introduces the control design of step motor. This paper introduces the design for the stepping motor controller based on FPGA , after analyzes the working principle ,Verilog HDL codes and the simulation results through QuartusⅡare presented. In order to realize the acceleration of the stepper motor deceleration operation, it also can realize the stepper motor and reversing operation. At the same time ,using Verilog HDL  language control to be possible  to act according to the stepping motor’s differences ,the change of module’s program parameter might implement the different model to stepping motor’s control ,it is advantageous in stepping motor’s widespread application.     
Key words :    FPGA   step motor   simulation    control
目录
摘要„I
Abstract„II
1绪论1
1.1课题研究目的和意义1
1.2国内外发展动态1
2FPGA简介3
2.1FPGA的概述3
2.2FPGA系统设计流程„3
2.3FPGA的开发编程原理4
3步进电机简介7
3.1步进电机工作原理„7
3.2步进电机驱动原理„8
4系统的设计„10
4.1设计的任务与要求10
4.2模块电路设计方案10
4.2.1FPGA控制单元10
4.2.2驱动器模块„10
5程序设计仿真与调试12
5.1软件模块设计与仿真„12
5.1.1分频模块12
5.1.2驱动模块13
5.1.3变频模块17
5.1.4去抖模块19
5.1.5计数器模块„21
5.1.6选择模块23
5.2程序总体设计方案与仿真„25
5.3下载调试与实物图27
6总结与展望30
致谢„31
参考文献32
1.1  课题研究目的和意义 电动机的主要作用是实现机械能与电能彼此之间的相互转换, 如今在科学技术不断发展进步的推动下, 二十一世纪的我们正在迈步进入一个全新的电气世纪,常用的电动机已经渐渐不能满足现代化状态下各种各样的生产需要, 发展工业生产的自动化技术和和进行办公自动化技术的改进对电机的要达到的控制要求也越来越严格。现有的技术逐渐满足不了新的生产需要,研究一系列全新的具有可以控制步进电机运动的控制系统,日益紧迫。步进电机是开环控制元件,它可以把脉冲变为步进电机的角位移也或者是把它变成线位移量 [1]。步进电机应用广泛,并且具有能够精确控制的特点,满足新时代下的控制要求。 步进电机是利用电与磁之间的研制成的感应式电动机,它通过利用电子电路技术,把直流电量变成可以分时并多相时序输出控制的电流量,使用这种电流给电机供电我们给步进电动机输入这种直流电量,驱动步进电机的运动,可以多相分时序控制步进电机。 虽然我们在很多场合都加大了对步进电机的使用, 在很多场合下步进电机和众多的的直流电动机还是有很多不一样的地方, 我们在需要通过使用具有双环形结构的脉冲信号的同时,还要附加功率驱动电路等电路单元构成电机控制系统才可以投入实际中进行应用,因此步进电机并不容易投入使用[2]。步进电机作为一种常用来作为执行元件的可控制设备,逐渐成为在直流电机还有交流电机之外存在的第三类电动机。随着现代电子技术的日益成熟,电机的使用方式愈加广阔,步进电机控制方法越来越多。应用 FPGA 技术对步进电机的旋转进行控制,可以达到现代工业对步进电机的基本控制要求。 基于FPGA的步进电机控制系统的设计:http://www.751com.cn/zidonghua/lunwen_36945.html
------分隔线----------------------------
推荐内容