毕业论文

打赏
当前位置: 毕业论文 > 电子通信 >

EDA技术在VGA彩色信号显示控制器中的应用(6)

时间:2017-06-23 20:59来源:毕业论文
VHDL 翻译 成中文就是超高速集成电路硬件描述语言,主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些


VHDL翻译成中文就是超高速集成电路硬件描述语言,主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。
VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。
2.5.2 VHDL模型结构
    VHDL语言通常包括库说明、实体说明、结构体说明3个部分。
library ieee;
use ieee.std_logic_1164.all;                --库说明
entity dff1 is
port(clk,d:in std_logic;
      q:out std_logic);
end dff1;                                   --实体说明
architecture rtl of dff1 is
begin
        process(clk)
        begin
                   if(clk'event and clk='1')then
                   q<=d;
                end if;
           end process;
end rtl;                                     --结构体说明

    VHDL提供5个库,IEEE库,STD库,VITAL库,自定义库和WORK库
IEEE库包含的常用程序包有:
    std_logic_1164:常用数据类型(其中有std_logic、std_logic_vector数据类型)和函数的定义、各种类型转换 函数及逻辑运算。
    std_logic_arith:它在std_logic_1164的基础上定义了无符号数unsigned、有符号数signed数据类型并为其定义了相应的算术运算、比较,无符号数unsigned、有符号数signed及整数integer之间转换函数。
    std_logic_unsigned和std_logic_signed:定义了integer数据类型和std_logic及std_logic_vector数据类型混合运算的运算符,并定义了一个由std_logic_vector型到integer型的转换函数。其中std_logic_signed中定义的运算符是有符号数运算符。 EDA技术在VGA彩色信号显示控制器中的应用(6):http://www.751com.cn/tongxin/lunwen_9832.html
------分隔线----------------------------
推荐内容