毕业论文

打赏
当前位置: 毕业论文 > 电子通信 >

EDA技术在VGA彩色信号显示控制器中的应用(4)

时间:2017-06-23 20:59来源:毕业论文
(2) IP复用; (3) 前端设计; (4) 系统描述:建立系统的 数学 模型; (5) 功能描述:描述系统的行为或各子模块之间的数据流图; (6) 逻辑设计:将系统功能


(2) IP复用;
(3) 前端设计;
(4) 系统描述:建立系统的数学模型;
(5) 功能描述:描述系统的行为或各子模块之间的数据流图;
(6) 逻辑设计:将系统功能结构化,通常以文本、原理图、逻辑图、布尔表达式 来表示设计结果;
(7) 仿真:包括功能仿真和时序仿真,主要验证系统功能的正确性及时序特性。
2.2.2 EDA的应用
EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。从应用领域来看,EDA技术已经渗透到各行各业,包括在机械、电子、通信、航空航航天、化工、矿产、生物、医学、军事等各个领域,都有EDA应用。另外,EDA软件的功能日益强大,原来功能比较单一的软件,现在增加了很多新用途,如AutoCAD软件可用于机械及建筑设计,也扩展到建筑装璜及各类效果图、汽车和飞机的模型、电影特技等领域。
2.3  FPGA系统实现
   VGA显示器的代码构架如图2.3所示,所涉及的VGA/LCD实现了对CRT、LCD的支持,可用于嵌入式系统的开发。
FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。
图2.3  VGA显示控制器代码框架
2.3.1 FPGA基本工作原理
    FPGA采用了逻辑单元阵列LCA这样一个概念,内部包括可配置逻辑模块CLB、输出输入模块IOB和内部连线三个部分。 现场可编程门阵列(FPGA)是可编程器件。与传统逻辑电路和门阵列(如PAL,GAL及CPLD器件)相比,FPGA具有不同的结构,FPGA利用小型查找表(16×1RAM)来实现组合逻辑,每个查找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动I/O,由此构成了既可实现组合逻辑功能又可实现时序逻辑功能的基本逻辑单元模块,这些模块间利用金属连线互相连接或连接到I/O模块。FPGA的逻辑是通过向内部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块之间或模块与I/O间的联接方式,并最终决定了FPGA所能实现的功能,FPGA允许无限次的编程。
2.3.2 FPGA的特点
(1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片;
(2)FPGA可做其它全定制或半定制ASIC电路的中试样片;
(3)FPGA内部有丰富的触发器和I/O引脚;
(4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一;
(5)FPGA采用高速CMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

2.4  Quartus Ⅱ软件的使用
2.4.1 Quartus Ⅱ软件简介
    Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
  Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。
  Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。
  此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。 EDA技术在VGA彩色信号显示控制器中的应用(4):http://www.751com.cn/tongxin/lunwen_9832.html
------分隔线----------------------------
推荐内容