毕业论文

打赏
当前位置: 毕业论文 > 电子通信 >

FPGA控制DDS的频率发生器设计(5)

时间:2018-08-21 14:22来源:毕业论文
图2.3 DDS相位累加器结构示意图 图2.4 波形ROM结构示意图 2.2.2 DDS基本原理 由前文介绍我们知道,直接数字式频率合成(DDS)是基于波形函数中幅度与相位的


 图2.3  DDS相位累加器结构示意图
图2.4  波形ROM结构示意图
2.2.2  DDS基本原理
   由前文介绍我们知道,直接数字式频率合成(DDS)是基于波形函数中幅度与相位的对应关系,通过改变频率控制字大小改变相位累加器的相位累加速度,然后在固定时钟频率下采样,取样得到相位值,再通过访问波形存储器得到该相位所对应的波形函数幅度值序列,将该系列幅度值通过D/A转换就得到了连续的模拟波形输出[12]。可以通过先了解基本的正弦波产生机理来帮助理解DDS的工作原理。 FPGA控制DDS的频率发生器设计(5):http://www.751com.cn/tongxin/lunwen_21740.html
------分隔线----------------------------
推荐内容