毕业论文

打赏
当前位置: 毕业论文 > 电子通信 >

基于SOC技术的等精度频率计设计+源码+流程图(10)

时间:2016-11-28 22:26来源:毕业论文
4.4 LCD液晶显示模块 本设计采用的是Altera公司生产的DE2开发板,在开发板上有液晶显示屏,我们只需要将液晶显示屏的引脚与我们设计的FPGA芯片对应配置就


4.4 LCD液晶显示模块
本设计采用的是Altera公司生产的DE2开发板,在开发板上有液晶显示屏,我们只需要将液晶显示屏的引脚与我们设计的FPGA芯片对应配置就可以了。在NOIS Ⅱ软核CPU对数据处理后,送入相应的LCD输出端口,即可显示。
5.系统综合及其测试
5.1 放大整形模块
    输入的正弦波经过放大整形模块后,整形成方波,便于计数,其测试结果如图5-1所示。
 
              图4-8  构建完成的NOIS Ⅱ系统模块
           
                        图5-1放大整形电路测试结果
5.2等精度计数模块
此部分由2个计数器,1个D型触发器和1个32位锁存器组成,在Quartus II中画出其原理图如图5-2。
 
                           图5-2 等精度计数模块原理图
    经过测试证实等精度计数模块可以达到预期目标。为便于在总体系统原理图中表示此模块,可将上图的框图在Quartus II中将其整合成一个模块文件,如图5-3所示。
 图5-3 等精度计数模块文件
5.3 整体系统
在Quartus II中新建一个原理图文件,将前面设计的各个模块添加进去,并将相应的接口连接起来。在DE2开发板上,FPGA芯片的每个引脚都与外围电路相连,所以要在原理图文件中,配置每个没有连接的引脚,例如要将NIOS II软核CPU中配置的FLASH,SRAM,SDRAM等存储器与外部芯片对应相连。FPGA芯片的每个引脚都有对应的名字,在对各个模块生成引脚并编译后,在Pin Planner中配置各个引脚的位置,在配置完成后,进行编译,编译成功后,整体硬件系统就设计完成了。
完成系统的硬件编译后,就可生成一个SOF文件,这个文件是用于向FPGA下载的。在Quartus II环境下,选择TOOLS下拉式菜单中的Programmer,就可将此文件写入FPGA芯片。
5.4 软件编写与测试
    在硬件系统设计完毕后,要向NIOS II软核CPU中写入程序,用于控制各个模块的运作。硬件的设计是在Quartus II环境中完成的,而软件设计是在NIOS II IDE开发平台中完成的。NIOS II软核是系统的核心,NIOS II IDE是NIOS II系列嵌入式处理器的基本软件开发工具,函数丰富,语法简便,采用文件操作的方式访问系统外设,用户能够依据系统硬件的结构很方便地设计出系统软件。NIOS II的开发是在NIOS II IDE的环境中进行的,NIOS II所要完成的最主要任务有:控制门限信号的长短,门限信号是由定时器控制的;读取FPGA中的两个计数器计数的数值,并按照公式计算,然后送入LCD显示频率值;还有控制发出计数器的清零信号。程序流程图如图5-5所示。
在NIOS II IDE开发平台中将程序和自定义的头文件添加进去,并建立工程,进行调试。在NIOS II C/C++开发环境中调试完毕后,即保证程序没有语法错误,则可转换到Debug环境中来验证程序的功能是否正确。
5.5 系统的扩展
    通过上面步骤设计的系统就可达到设计要求,但是在没有信号发生器的时候,难以验证系统的正确性。故可以再FPGA设计一个信号源,用于产生不同频率的信号,让等精度频率计测量。这里只需设计一个分频器和一个选择器就可以了。
5.5.1  分频器设计
    在本设计中,因为测量要求是1Hz~200MHz,故先将标准信号通过锁相环倍频成200MHz的信号,然后由4个switch开关控制得到16种不同频率的信号。此分频器的 基于SOC技术的等精度频率计设计+源码+流程图(10):http://www.751com.cn/tongxin/lunwen_507.html
------分隔线----------------------------
推荐内容