毕业论文论文范文课程设计实践报告法律论文英语论文教学论文医学论文农学论文艺术论文行政论文管理论文计算机安全
您现在的位置: 毕业论文 >> 课程设计 >> 正文

数字脉搏计设计+电路设计+源程序

更新时间:2011-6-22:  来源:毕业论文

数字脉搏计设计+电路设计+源程序
1、申请题目:数字脉搏计
测量人体在一段时间内的脉搏数,根据不同年龄段的人对其测得的脉搏数进行判断,通过指示灯输出判断结果。
2、课题背景:
脉搏是临床检查和生理研究中常见的生理现象,包含了反映心脏和血管状态的重要生理信息。人体内各器官的健康状态、病变等信息将以某种方式显现在脉搏中即在脉象中。人体脉象中富含有关心脏、内外循环和神经等系统的动态信息,我们可以通过对脉搏波检测得到的脉波图含有出许多有诊断价值的信息,可以用来预测人体某些器原文请找腾讯752018766辣,文-论'文.网http://www.751com.cn 官结构和功能的变换趋势,脉搏生理性能的改变可以先于疾病临床症状出现,通过对脉搏的检测可以对如高血压和糖尿病等引起的血管病变进行评估。同时脉搏测量还为血压测量,血流测量及其他某些生理检测技术提供了一种生理参考信号。    在医院临床监护和日常中老年保健中,脉搏是一项基本的生命指标,因而脉搏测量是最常见的生命特征的提取。而为了方便患者的使用,可以事先在脉搏计中输入不同年龄相对应的正常的脉搏范围,并通过使用者输入的年龄,测量时间与测得的脉搏数进行判断,一目了然的反应出测量者的脉搏健康状况。
3、项目规划:
将人体的脉搏通过压电转换装置转换为电信号,用数字电路对传感器产生的波形进行放大,过滤和整形,使之成为单片机能够准确识别的脉冲信号。在规定时间内测出人体的脉搏,并将脉搏数显示在数码管上,由于幼儿,成人,老人等不同人群的正常脉搏的范围都不同,老人婴儿的脉搏一般较快。我们在使用之前先输入年龄参数,然后测完后将结果与事先输入到单片机中的不同年龄的标准值进行对比,若正常则亮绿灯,偏高亮红灯,偏低亮黄灯。
用开关输入需测量的时间,测量者的年龄等参数,将压电传感器放置在被测者的脉搏上,按下开始数码管变开始进行计数,到输入时间时,数码管停止计数。单片机自动换算到1分钟的脉搏数与输入在内的相应的年龄对应的正常值进行比较,若正常则亮绿灯,若偏高则亮红灯,若偏低亮黄灯。
输入、输出接口:压电传感器的输出接到FPGA的时钟端做输入,开关输入设定的时间和年龄,数码管显示计数结果,led灯判断脉搏是否正常。
4、实现方案:
核心问题:需要将微弱的脉搏信号转化为可以输入到FPGA中的电信号,并可以对测量时间进行调节,将测量的值与事先输入的值进行比较。
解决方案:首先需要一个将脉搏跳动信号转换为与此相对应的电脉冲信号的传感器;对传感器产生的信号进行放大,整形除去杂散信号;需要一个基准时间产生电路,产生短时间的控制信号,以控制测量时间;设计计数、译码、显示原文请找腾讯752018766辣,文-论'文.网http://www.751com.cn 电路用来读出脉搏数,并以十进制数的形式由数码管显示出来;事先在单片机内输入大量与脉搏相关的各类参数极其相应的正常范围,用来与被测者的脉搏进行比较;将比较后的结果通过led灯显示被测者的脉搏是否正常。
5、系统结构:
脉冲产生电路:将力学信号通过压电传感器转化为电学信号
放大整形电路:将传感器得到的电信号进行放大和整形,去除不必要的干扰
计数电路:将得到的每个脉冲都进行一次计数,计数的结果锁存在电路中
译码显示电路:将计数电路得到的结果通过BCD译码器显示出来
定时电路:控制译码显示电路的时间,在时间截至后,显示电路便保持不变
判断比较电路:将译码显示电路上的数据与事先输入到单片机内的数据进行比较,将比较结果通过led灯显示出来。
输入输出名称 芯片引脚号 实验箱标注 钉子线连接
age[0] 73 P1 K0
age[1] 72 P2 K1
age[2] 71 P3 K2
age[3] 70 P4 K3
age[4] 69 P5 K4
age[5] 68 P6 K5
age[6] 67 P7 K6
clk 62 P8 函数发生器方波
clk1 61 P9 传感器输出
green 60 P10 绿色led灯
red 59 P11 红色led灯
yellow 58 P12 黄色led灯
rst 57 P13 K7
time[0] 56 P14 K8
time[1] 55 P15 K9
time[2] 54 P16 K10
time[3] 53 P17 K11
time[4] 52 P18 K12
xsbai[0] 51 P19 数码管a1
xsbai[1] 50 P20 数码管a2
xsbai[2] 49 P21 数码管a3,1914

[1] [2] 下一页

数字脉搏计设计+电路设计+源程序下载如图片无法显示或论文不完整,请联系qq752018766
设为首页 | 联系站长 | 友情链接 | 网站地图 |

copyright©751com.cn 辣文论文网 严禁转载
如果本毕业论文网损害了您的利益或者侵犯了您的权利,请及时联系,我们一定会及时改正。